找回密码
 立即注册

用VHDL语言编写的写存储器程序,可下载在FPGA中使用

[复制链接]
发表于 2023-11-6 15:12:23 | 显示全部楼层 |阅读模式
文件列表:
write_register.hif
write_register.vhd
write_register.mmf
write_register.acf
write_register.bsf

用VHDL语言编写的写存储器程序,可下载在FPGA中使用.rar (5.57 KB, 下载次数: 0, 售价: 30 积分)


回复

使用道具 举报

小黑屋|获取积分|网站地图|必过源码 ( 湘ICP备2020019413号-2 )

GMT+8, 2024-10-18 16:39 , Processed in 0.077112 second(s), 27 queries .

Powered by Biguo100

2006-2023 Biguo100 Team

快速回复 返回顶部 返回列表